FPGA Development Board and Educational Platform ( xc7z030 ZYNQ EVB Board )

FII-PE7030 is a ready-to-use for educational platform which has been designed to cover FPGA development and experiment, ARM SOC development and experiment, network(copper or fiber) development ,digital communication and SDR(software define radio) with daughter board FII-BD9361 plug on.

Basic Experiment Functions:

FII-PE7030  xc7z030 zynq evb board  is a ready-to-use for educational platform which has been designed to cover FPGA development and experiment,  ARM SOC development and experiment,  network(copper or fiber) development ,digital communication and SDR(software define radio) with daughter board FII-BD9361 plug on.  It was designed for university students, teachers, and all other industrail professionals.  FII-PE7030 is an incredibly flexible processing platform, capable of adapting to most of your project requires.

More surprising show up that recently Engineers has successful port RISC-V(RV32G) and RV64I to this platform, it becomes a real RISC-V SOC platform.

Application:

wireless Communication
DBC(digital base band communication) and DSP
SDR Software defined radio
LTE protocol analysis
4/5G  base station

Network communication:
100M/1G  ethernet communication both for PS and PL
10G SFP+  fibre communication with multiple protocol supported like LAN, SONET/SDH, CPRI etc.
Network switch and router
VLAN
Spanning  Tree

USB:
1 480M high speed USB2.0  HUB
4 480M high speed USB2.0  connectors

CPU:
RISC-V CPU 32bit ecosystem development ,verification and validation
RISC-V CPU 64bit ecosystem development,verification and validation

Artificial Intelligence:
Audio or Voice Collection, Speech Recognition
Image Acquisition and Image Recognition, Deep Learning

IOT: ALL kinds of IOTs with RISC-V system

FII-PE7030 System Hardware Resources:

  1. 2 ports 10G Ethernet(Fibre)
  2. 2 ports100M/1G Ethernet, one for PS and for PL
  3. 1 HDMI output Interface。
  4. dual channel Audio Interface
  5. AD9361 Interface(FMC-LPC)
  6. 8 LEDs
  7. 8 switches
  8. 8 buttons
  9. GPIO Expansion Port
  10. JTAG Debug Interface
  11. 1GB ddr3 –SOC(PS)
  12. 1GB ddr3—FPGA(PL)
  13. SDCARD Interface
  14. 32M Serial FLASH
  15. Serial EEPROM
  16. 12bit 1MPS ADC
  17. Temperature Sensor

RISC-V FPGA Development Board

FII-PRX100 Risc-V FPGA Board is a ready-to-use development platform designed around the Field Programmable Gate Array (FPGA) from Xilinx.

Open Source[edit]

There are many open-sourced RISC-V CPU designs, including:

  • The Berkeley CPUs. These are implemented in a unique hardware design language, Chisel, and some are named for famous train engines:
    • 64-bit Rocket. Rocket may suit compact, low-power intermediate computers such as personal devices. Named for Stephenson’s Rocket.
    • The 64-bit Berkeley Out of Order Machine (BOOM). BOOM uses much of the infrastructure created for Rocket, and may be usable for personal, supercomputer, and warehouse-scale computers.
    • Five 32-bit Sodor CPU designs from Berkeley, designed for student projects. Sodor is the fictional island of trains in childrens’ stories about Thomas the Tank Engine.
  • picorv32 by Claire Wolf, a 32-bit microcontroller unit (MCU) class RV32IMC implementation in Verilog.
  • scr1 from Syntacore,a 32-bit microcontroller unit (MCU) class RV32IMC implementation in Verilog.
  • PULPino (Riscy and Zero-Riscy) from ETH Zürich / University of Bologna. The cores in PULPino implement a simple RV32IMC ISA for micro-controllers (Zero-Riscy) or a more powerful RV32IMFC ISA with custom DSP extensions for embedded signal processing.

It was designed to cover all aspects of FPGA Development and Experiment, RISC-V SOC .

The main application areas aim at smart home, Wearable, sensor Fusion, IOT, and industrial control etc.

FII-PRX100 Risc-V FPGA Board is a ready-to-use development platform designed around the Field Programmable Gate Array (FPGA) from Xilinx.  It was designed to cover all aspects of FPGA Development and Experiment, RISC-V SOC .  The main application areas aim at smart home, Wearable, sensor Fusion, IOT, and industrial control etc.

Features:

  1. Fully supports the RV32IMFAC instruction architecture and provides a rich set of storage and interfaces, including: ITCM 64K(Instruction Tightly Coupled Memories) and DTCM 64K(Data Tightly Coupled Memories) for separate storage of instructions and data, and 2M bytes External super RAM support as well .
  2. 3-stage pipeline architecture
  3. support machine mode only
  4. From instruction fetch ,Decoder ,Execution to memory operation modules are 100% Manually developed by using pure verilog HDL, scalable and easy to be understood.
  5.  The flexible RISC-V IPCORE is suitable for customized ASIC for specific domain, Also can be used as embedded CPU with in FPGA.
  6.  Interrupt controller, supports 16 high-priority, low-latency local vectored interrupts.
  1. includes a RISC-V standard PLIC (platform-level interrupt controller ), which supports 127 global interrupts with 7 priority levels. provides the standard RISCV machine-mode timer and software interrupts via the CLINT(Core Local Interruptor)
  2. 2 UART
  3. 3 QSPI
  4. I2C
  5. 3 PWM
  6. 10M/100M/1G ethernet
  7. Watchdog
  8. 32 GPIO
  9. 4 7-seg display interface
  10. External Serial Flash
  11. Debug Interfaces: JTAG
  12. 12-Bit ADC
  13. Four data lines I2S and can support maximum of 8 audio outputs or 4 stereo channels
  14. Hardware Crypto Engine for Advanced Fast Security, Including: AES 128, CRC, Checksum etc

FII-PRX100 RISC-V development board

      1. Suitable for FPGA study and training
      2. Fully support FIE310 CPU running and system development
      3. Suitable for user customized RV32G verification and validation
      4. JTAG interface for FPGA and FIE310 CPU download and debug
      5. Support Windows software and linux development environment
      6. GCC compilation toolchain and graphical software development environment
      7. Hardware resource: Switchs, Push Button ,USB to UART convertorQSPI flash, I2CEEPROM, 100M/1G ethernet,USB keyboard mouse,GPIOhdmi transmitter and camera etc.

1.System Design Objective

The main purpose of this system design is to complete FPGA learning, development and experiment with Xilinx-Vivado. The main device uses the Xilinx-XC7A100T-2FGG676I and is currently the latest generation of FPGA devices from Xilinx. The main learning and development projects can be completed as follows:

      1. Basic FPGA design training
      2. Construction and training of the SOPC (Microblaze) system
      3. IC design and verification, the system provides hardware design, simulation and verification of RISC-V CPU
      4. Development and application based on RISC-V
      5. The system is specifically optimized for hardware design for RISC-V system applications.

2.System Resource

      1. Extended memory
      2. Use two Super Srams in parallel to form a 32-bit data interface with a maximum access space of 1M bytes.
      3. IS61WV25616 (2 pieces) 256K x 32bit
      4. Serial flash
      5. Spi interface serial flash (128M bytes)
      6. Serial EEPROM
      7. Gigabit Ethernet: 100/1000 Mbps
      8. USB to serial interface: USB-UART bridge

3.Human-computer Interaction Interface

      1. 8 toggle switches
      2. 8 push buttons
      3. Definition of 7 push buttons: up, down, left, right, ok, menu, return
      4. 1 for rest: Reset button
      5. 8 LEDs
      6. 6 7-segment decoders
      7. I2C bus interface
      8. UART external interface
      9. JTAG programming interfaces
      10. Integrated FPGA Jtag and Risc-V Jtag by using single USB port.
      11. Built-in RISC-V IPCore
      12. CPU software debugger, no external RISC-V JTAG emulator required
      13. 12-pin GPIO connectors, in line with PMOD interface compatible.

 

Software Development System

    1. Vivado 18.1 and later version for FPGA development, Microblaze SOPC
    2. Freedom Studio-Win_x86_64 Software development for RISC-V CPU

5.  Supporting Resources

    1. RISC-V  JTAG Debugger
    2. Xilinx Altera JTAG Download Debugger
    3. FII-PRX100 Development Guide

What is RISC-V Foundation?

RISC-V  is a free and open ISA enabling a new era of processor innovation through open standard collaboration.

The RISC- V Foundation is a non-profit entity serving members and the industry and was originally developed in the Computer Science Division of the EECS Department at the University of California, Berkeley.

Recently RISC-V and GigaDevice announced the GD32V Series, which is said to be the world’s first 32-bit general-purpose microcontroller based on the RISC-V core.

Perf-V is a FPGA demo board designed for RISC-V opensource community by PerfXLab. It integrates various peripheral chips and offers many interfaces.

  • It uses Xilinx Artix-7 FPGA, Vivado software development,and is designed for the RISC-V open source community and FPGA learning enthusiasts design development board.
  • It Integrates a variety of peripheral chips to provide a rich set of peripheral interfaces, including PMOD, Arduino, JTAG, UART interfaces, and high-speed interfaces for expansion of HDMI, VGA, USB2.0/3.0, camera, Bluetooth, expansion boards, etc. Strong flexibility.
  • Based on Perf-V’s self-developed smart car, it can use mobile phone Bluetooth to control the movement of the car, and can realize automatic tracing and obstacle avoidance functions.

You can also choose another one with the chip XC7A100T-1FTG256C, which has more logic cells and CLBs.

What is the next board are you expecting? Please feel to let us know in the forum: New Product Ideas. We will carefully listen to and take action!

 

FPGA for Beginners

field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing – hence the term “field-programmable”.

The FPGA configuration is generally specified using a hardware description language (HDL), similar to that used for an Application-Specific Integrated Circuit (ASIC). Circuit diagrams were previously used to specify the configuration, but this is increasingly rare due to the advent of electronic design automation tools.

pocket FPGA study Boards
pocket FPGA study Boards

FII was founded on making FPGA technology more approachable for students or FPGA beginners to learn. We partnered with the world leader and founder of modern day FPGA technology, Altera and Xilinx, to create platforms that were ideally suited for learning.

Below are boards that we recommend for beginning users. These boards have course material, books, and tutorials to get you started!

Altera FPGA Study Board, Verilog for beginner – Cyclone-10 FPGA Development Board – $59

 

FPGA Board for beginner with free experimental manuals
FPGA Board for beginner with free experimental manuals

 

The advantage of FPGA beginner study board:

  1. Beginner FPGA study board, cheaper but fully functional. cellphone sized. ( < 80 USD )
  2. power supply and download at the same time, no extra power supply and no extra data transfer line needed
  3. Small volume and light and can be put into your pocket. size: 10cm X 7 cm.
  4. Unique function: can be a study board as well a multifunctional JTAG downloader. 
  5. We use newest version Intel FPGA within two years and you can always keep in the front of FPGA industry.
cyclone10
cyclone10

FII-PRA006 is both a FPGA development board and a JTAG down-loader.  As a JTAG down-loader, It support:

  1. Intel(Altera) FPGA:Support Quartus II5.0 and all the version afterwords;
  2. Xilinx FPGASupport ISE9.0 and all version afterwords or All Vivado versions;
  3. Compatible with ARM Cortext:Support OpenOCD
  4. RISC-V CPU:Support FreeDomStudio,including GCC、OpenOCD、and GDB Debugging

Altera FPGA Study Board Hardware Resources:

  1. seven_seg_r
  2. VGA Video Interface × 1
  3. 1G Ethernet Interface × 1
  4. I2C EEPROM × 1
  5. DIP Switch × 8
  6. Controllable  LED light × 8
  7. Photoresistance × 1
  8. Thermistor × 1
  9. Adjustable Varistor × 1
  10. Buttons × 4
  11. GPIO Interface × 2
  12. Micro usb Interface(Power Supply and downlaod ) × 1
  13. SPI Communication Interface × 1
  14. AD/DA Conversion chip × 1
  15. JTAG Download Interface × 1
  16. FLASH 32Mbit  × 1
Chip Resources
Chip Resources
FPGA Study Board
FPGA Study Board

The Biggest Hardware Benefits:

    1. USB port for power supply, downloader, communications ;
    2. One 50M Oscillator. A stable clock for development board;
    3. 6-digit common anode digital tube,display the data by dynamic scan;
    4. 1 VGA port to display picture and video;
    5. 1 I2C port EEPROM chip,Model: AT24C02;
    6. 1 adaptive 10M, 100M/1000M Ethernet port;
    7. 5 buttons,4 for programmable buttons , and one button for resetting;
    8. 1 Photoresistance. It can be used for simulating light control;
    9. 1 thermistor. It can be used for collecting temperature or simulating alarm function
    10. An adjustable resistor can simulate voltage changes, etc.
    11. 1 PCF8591 AD/DA Conversion chip;
    12. 8-digit dial switch;
    13. 8-bit LED light-emitting diode;
    14. One 128Mbit Flash Chip;
    15. 2 GPIO external port for communication extension port ;
    16. One JTAGPort , and make PRA006/010 a functional JTAG down-loader, can be used as a JTAG downloader for Intel,Xilinx and other FPGA downloadable program;

It can also be used with other FPGA development Boards:

FPGA for Beginner Boards Work with other Boards
FPGA for Beginner Boards Work Together with other Boards
Easily Work With Other Boards
Easily Work With Other Boards

 

FPGA Board For Beginner with free Experimental Manuals
FPGA Board For Beginner with free Experimental Manuals
FPGA Board For Beginner with free Experimental Manuals and Jtag downloader
FPGA Board For Beginner with free Experimental Manuals and embeded Jtag downloader
Buy FPGA Boards

Official Shopping Websites

https://fpgamarketing.com/Altera-FPGA-Study-Board-Verilog-for-beginner-Cyclone-10-FPGA-FII-PRA006.htm

FPGA for Beginner Tutorial – FPGA Experimental Manuals

  • FPGA Board for beginner – FII-PRA006/010 Hardware Reference Guide
  • FPGA Beginner Tutorial – Ethernet Experiment – FPGA Board for Beginner – Experiment 14
  • FPGA Beginner Tutorial – VGA Experiment – FPGA Board for Beginner – Experiment 13
  • FPGA Beginner Tutorial – AD, DA Experiment – FPGA Board for Beginner – Experiment 12
  • FPGA Beginner Tutorial – IIC Protocol Transmission – FPGA Board for Beginner – Experiment 11
  • FPGA Tutorial – Asynchronous Serial Port Design and Experiment – FPGA for Beginner – Experiment 10
  • FPGA Tutorial – Use Dual-port RAM to Read and Write Frame Data – FPGA Board for Beginner – Experiment 9
  • FPGA Tutorial – Use of ROM (Read-only Memory) – FPGA Board for Beginner – Experiment 8
  • FPGA Tutorial – Hexadecimal Numbers to BCD Code Conversion and Application – FPGA Board for Beginner – Experiment 7
  • FPGA Tutorial – Use Multiplier and ModelSim – FPGA Board for Beginner – Experiment 6
  • FPGA tutorial – Block_debouncing – FBGA Board for for beginner – Experiment 5
  • FPGA Tutorial – Block/ Schematic Test – FPGA Board for Beginner – Experiment 4
  • FPGA for Beginner Tutorial – Experiment 3 – BCD_counter – FII-PRA006
  • FPGA for Beginner Tutorial – Experiment 2 Switch and Use SignalTap II – FII-PRA006
  • FPGA Board Beginner Tutorial – FII-PRA006 Experiment 1 LED_shifting

FPGAs provide benefits to designers of many types of electronic equipment, ranging from IOT, smart homes, smart energy grids, aircraft navigation, automotive driver’s assistance, medical ultrasounds and data center search engines, and so on.

We will send you experimental manuals when you have bought our pocket study board. PRA006 or PRA010

What is FPGA?

FPGA stands for “Field Programmable Gate Array“. As you may already know, FPGA essentially is a huge array of gates which can be programmed and reconfigured any time anywhere. “Huge array of gates” is an oversimplified description of FPGA. FPGA is indeed much more complex than a simple array of gates.

Some FPGAs has built-in hard blocks such as Memory controllers, high-speed communication interfaces, PCIe Endpoints, etc. But the point is, there are a lot of gates inside the FPGA which can be arbitrarily connected together to make a circuit of your choice. More or less like connecting individual logic gate ICs (again oversimplified but a good mental picture nonetheless).

FPGAs are manufactured by companies like Xilinx, Altera, Microsemi, etc… FPGAs are fundamentally similar to CPLDs but CPLDs are very small in size and capability compared to FPGAs.

What are the applications of FPGA? 

Architecturally FPGAs are essentially a sea of gates which can be reconfigured to build almost any digital circuit that one can imagine.

This great flexibility along with the ability to reconfigure the device with different designs at-will makes FPGA a better choice compared to ASICs (Application Specific Integrated Circuit) for a lot of applications. For example, deep learning, AI or application acceleration system can re-program a single FPGA with different algorithms at different times to achieve the best performance. An ASIC would not be as flexible in such situations.

In certain applications, the number of individual units manufactured would be very small. Designing and manufacturing ASICs for these applications can be prohibitively expensive. In such situations, FPGA can offer very cost effective but robust solutions. The module form-factor boards such as the Narvi Spartan 7 FPGA Module make it easier to implement the applications without requiring end-product designers to take care of complex details such as FPGA power supplies, DDR3 routing, etc. Below are some of the potential applications of FPGAs in no particular order.

    • Cryptography
    • ASIC prototyping
    • Industrial, medical and Scientific Instruments
    • Audio/Video and Image processing and broadcasting
    • High-performance computing, AI, and Deep Learning
    • Military and Space applications
    • Networking, packet processing, and other communications

What is FPGA programming? 

FPGA programming or FPGA development process is the process of planning, designing and implementing a solution on FPGA. The amount and type of planning vary from application to application. But creating a requirements document that captures all specific requirements and creating a design document that explains how the proposed solution would be implemented can be very helpful to enumerate potential problems and plan around them. A little bit of time spent creating a quality design document will save tons of time in refactoring, debugging and bug fixing later. Implementing a solution on FPGA includes building the design using one of the design entry methods such as schematics or HDL code such as Verilog or VHDL, Synthesizing the design (Synthesis, netlist generation, place and route etc..) in to output files that FPGAs can understand and program the output file to the physical FPGA device using programming tools. Entering the design using schematics is not used in the industry widely anymore. So we will keep the discussion limited to design entry using HDL (Hardware Description Language), specifically Verilog in this article series. Synthesis and programming are almost completely taken care of the vendor tools such as ISE and Vivado and Numato Lab configuration tools. All necessary steps to be taken by the user as part of design entry, synthesis and programming will be explained in subsequent sections.

 

The Benefits of FPGA

Flexibility

  1. FPGA functionality can change upon every power-up of the device. So, when a design engineer wants to make a change, they can simply download a new configuration file into the device and try out the change.
  2. Often, changes can be made to the FPGA without making costly PC board changes.
  3. ASSPs and ASICs have fixed hardware functionality that can’t be changed without great cost and time.

Acceleration

  1. FPGAs are sold “off the shelf” vs. ASICs (which require manufacturing cycles taking many months).
  2. Because of FPGA flexibility, OEMs can ship systems as soon as the design is working and tested.
  3. FPGAs provide off-load and acceleration functions to CPUs, effectively speeding up the entire system performance.

Integration

Today’s FPGAs include on-die processors, transceiver I/O’s at 28 Gbps (or faster), RAM blocks, DSP engines, and more. More functions within the FPGA mean fewer devices on the circuit board, increasing reliability by reducing the number of device failures.

Total Cost of Ownership (TCO)

  1. While ASICs may cost less per unit than an equivalent FPGA, building them requires a non-recurring expense (NRE), expensive software tools, specialized design teams, and long manufacturing cycles.
  2. Intel FPGAs support long lifecycles (15-years or more), avoiding the cost of redesigning and requalifying OEM production equipment if one of the electronic devices on-board goes end of life (EOL).
  3. FPGAs reduce risk, allowing prototype systems to ship to customers for field trials, while still providing the ability to make changes quickly before ramping to volume production.

 

The concept behind an FPGA’s programmability is a basic building block containing various logic types that are connected and interconnected to perform any logic function. “Basic building block” is a generic term that I’m using, but you may hear terms like “logic cells,” “combinational logic blocks” (CLBs), or “logic array blocks” (LABs); it depends on the manufacturer. The basic building block contains logic resources and is the starting point that the FPGA uses to constructs the design.

The experimental manual of FII-PRA006 pocket Board for beginners

The Hardware Configuration of FII-PRA006 Board for beginners

The Schematic Diagram of FII-PRA006 FPGA Board for beginners

We will send you above documents after you have bought our PRA006 pocket study board.

 

Extension Experiments

 

Video Camera

  1. Pictures and Videos Collection
  2. Edge check and human-face location Positioning
  3. Picture and video Zip and UnZip

Voice and Speech

  1. voice and speech collection
  2. Speech Recognition
  3. High Speed Analog signal acquisition

Risc-V

  1. Low level CPU programing
  2. Run C language
  3. C language  debugging onboard device